ViewVC Help
View File | Revision Log | Show Annotations | View Changeset | Root Listing
root/group/trunk/tengDissertation/lstlang3.sty
Revision: 2685
Committed: Mon Apr 3 18:07:54 2006 UTC (19 years, 9 months ago) by tim
File size: 44073 byte(s)
Log Message:
Imported using TkCVS

File Contents

# User Rev Content
1 tim 2685 %%
2     %% This is file `lstlang3.sty',
3     %% generated with the docstrip utility.
4     %%
5     %% The original source files were:
6     %%
7     %% lstdrvrs.dtx (with options: `lang3')
8     %%
9     %% (w)(c) 1996/1997/1998/1999/2000/2001/2002/2003 Carsten Heinz and/or
10     %% any other author listed elsewhere in this file.
11     %%
12     %% This file is distributed under the terms of the LaTeX Project Public
13     %% License from CTAN archives in directory macros/latex/base/lppl.txt.
14     %% Either version 1.0 or, at your option, any later version.
15     %%
16     %% This file is completely free and comes without any warranty.
17     %%
18     %% Send comments and ideas on the package, error reports and additional
19     %% programming languages to <cheinz@gmx.de>.
20     %%
21     \ProvidesFile{lstlang3}
22     [2003/08/13 1.1a listings language file]
23     \lst@definelanguage[68]{Algol}%
24     {morekeywords={abs,and,arg,begin,bin,bits,bool,by,bytes,case,channel,%
25     char,co,comment,compl,conj,divab,do,down,elem,elif,else,empty,%
26     end,entier,eq,esac,exit,false,fi,file,flex,for,format,from,ge,%
27     goto,gt,heap,if,im,in,int,is,isnt,le,leng,level,loc,long,lt,lwb,%
28     minusab,mod,modab,mode,ne,nil,not,od,odd,of,op,or,ouse,out,over,%
29     overab,par,plusab,plusto,pr,pragmat,prio,proc,re,real,ref,repr,%
30     round,sema,shl,short,shorten,shr,sign,skip,string,struct,then,%
31     timesab,to,true,union,up,upb,void,while},%
32     sensitive=f,% ???
33     morecomment=[s]{\#}{\#},%
34     keywordcomment={co,comment}%
35     }[keywords,comments,keywordcomments]%
36     \lst@definelanguage[60]{Algol}%
37     {morekeywords={array,begin,Boolean,code,comment,div,do,else,end,%
38     false,for,goto,if,integer,label,own,power,procedure,real,step,%
39     string,switch,then,true,until,value,while},%
40     sensitive=f,% ???
41     keywordcommentsemicolon={end}{else,end}{comment}%
42     }[keywords,keywordcomments]%
43     %%
44     %% x86masm definition (c) 2002 Andrew Zabolotny
45     %%
46     \lst@definelanguage[x86masm]{Assembler}%
47     {morekeywords={al,ah,ax,eax,bl,bh,bx,ebx,cl,ch,cx,ecx,dl,dh,dx,edx,%
48     si,esi,di,edi,bp,ebp,sp,esp,cs,ds,es,ss,fs,gs,cr0,cr1,cr2,cr3,%
49     db0,db1,db2,db3,db4,db5,db6,db7,tr0,tr1,tr2,tr3,tr4,tr5,tr6,tr7,%
50     st,aaa,aad,aam,aas,adc,add,and,arpl,bound,bsf,bsr,bswap,bt,btc,%
51     btr,bts,call,cbw,cdq,clc,cld,cli,clts,cmc,cmp,cmps,cmpsb,cmpsw,%
52     cmpsd,cmpxchg,cwd,cwde,daa,das,dec,div,enter,hlt,idiv,imul,in,%
53     inc,ins,int,into,invd,invlpg,iret,ja,jae,jb,jbe,jc,jcxz,jecxz,%
54     je,jg,jge,jl,jle,jna,jnae,jnb,jnbe,jnc,jne,jng,jnge,jnl,jnle,%
55     jno,jnp,jns,jnz,jo,jp,jpe,jpo,js,jz,jmp,lahf,lar,lea,leave,lgdt,%
56     lidt,lldt,lmsw,lock,lods,lodsb,lodsw,lodsd,loop,loopz,loopnz,%
57     loope,loopne,lds,les,lfs,lgs,lss,lsl,ltr,mov,movs,movsb,movsw,%
58     movsd,movsx,movzx,mul,neg,nop,not,or,out,outs,pop,popa,popad,%
59     popf,popfd,push,pusha,pushad,pushf,pushfd,rcl,rcr,rep,repe,%
60     repne,repz,repnz,ret,retf,rol,ror,sahf,sal,sar,sbb,scas,seta,%
61     setae,setb,setbe,setc,sete,setg,setge,setl,setle,setna,setnae,%
62     setnb,setnbe,setnc,setne,setng,setnge,setnl,setnle,setno,setnp,%
63     setns,setnz,seto,setp,setpe,setpo,sets,setz,sgdt,shl,shld,shr,%
64     shrd,sidt,sldt,smsw,stc,std,sti,stos,stosb,stosw,stosd,str,sub,%
65     test,verr,verw,wait,wbinvd,xadd,xchg,xlatb,xor,fabs,fadd,fbld,%
66     fbstp,fchs,fclex,fcom,fcos,fdecstp,fdiv,fdivr,ffree,fiadd,ficom,%
67     fidiv,fidivr,fild,fimul,fincstp,finit,fist,fisub,fisubr,fld,fld1,%
68     fldl2e,fldl2t,fldlg2,fldln2,fldpi,fldz,fldcw,fldenv,fmul,fnop,%
69     fpatan,fprem,fprem1,fptan,frndint,frstor,fsave,fscale,fsetpm,%
70     fsin,fsincos,fsqrt,fst,fstcw,fstenv,fstsw,fsub,fsubr,ftst,fucom,%
71     fwait,fxam,fxch,fxtract,fyl2x,fyl2xp1,f2xm1},%
72     morekeywords=[2]{.align,.alpha,assume,byte,code,comm,comment,.const,%
73     .cref,.data,.data?,db,dd,df,dosseg,dq,dt,dw,dword,else,end,endif,%
74     endm,endp,ends,eq,equ,.err,.err1,.err2,.errb,.errdef,.errdif,%
75     .erre,.erridn,.errnb,.errndef,.errnz,event,exitm,extrn,far,%
76     .fardata,.fardata?,fword,ge,group,gt,high,if,if1,if2,ifb,ifdef,%
77     ifdif,ife,ifidn,ifnb,ifndef,include,includelib,irp,irpc,label,%
78     .lall,le,length,.lfcond,.list,local,low,lt,macro,mask,mod,.model,%
79     name,ne,near,offset,org,out,page,proc,ptr,public,purge,qword,.%
80     radix,record,rept,.sall,seg,segment,.seq,.sfcond,short,size,%
81     .stack,struc,subttl,tbyte,.tfcond,this,title,type,.type,width,%
82     word,.xall,.xcref,.xlist},%
83     alsoletter=.,alsodigit=?,%
84     sensitive=f,%
85     morestring=[b]",%
86     morestring=[b]',%
87     morecomment=[l];%
88     }[keywords,comments,strings]
89     %%
90     %% Clean definition (c) 1999 Jos\'e Romildo Malaquias
91     %%
92     %% Clean 1.3 : some standard functional language: pure, lazy,
93     %% polymorphic type system, modules, type classes,
94     %% garbage collection, functions as first class citizens
95     %%
96     \lst@definelanguage{Clean}%
97     {otherkeywords={:,::,=,:==,=:,=>,->,<-,<-:,\{,\},\{|,|\},\#,\#!,|,\&,%
98     [,],!,.,\\\\,;,_},%
99     morekeywords={from,definition,implementation,import,module,system,%
100     case,code,if,in,let,let!,of,where,with,infix,infixl,infixr},%
101     morendkeywords={True,False,Start,Int,Real,Char,Bool,String,World,%
102     File,ProcId},%
103     sensitive,%
104     morecomment=[l]//,% missing comma: Markus Pahlow
105     morecomment=[n]{/*}{*/},%
106     morestring=[b]"%
107     }[keywords,comments,strings]%
108     \lst@definelanguage{Comal 80}%
109     {morekeywords={AND,AUTO,CASE,DATA,DEL,DIM,DIV,DO,ELSE,ENDCASE,ENDIF,%
110     ENDPROC,ENDWHILE,EOD,EXEC,FALSE,FOR,GOTO,IF,INPUT,INT,LIST,LOAD,%
111     MOD,NEW,NEXT,NOT,OF,OR,PRINT,PROC,RANDOM,RENUM,REPEAT,RND,RUN,%
112     SAVE,SELECT,STOP,TAB,THEN,TRUE,UNTIL,WHILE,ZONE},%
113     sensitive=f,% ???
114     morecomment=[l]//,%
115     morestring=[d]"%
116     }[keywords,comments,strings]%
117     \lst@definelanguage{Elan}%
118     {morekeywords={ABS,AND,BOOL,CAND,CASE,CAT,COLUMNS,CONCR,CONJ,CONST,%
119     COR,DECR,DEFINES,DET,DIV,DOWNTO,ELIF,ELSE,END,ENDIF,ENDOP,%
120     ENDPACKET,ENDPROC,ENDREP,ENDSELECT,FALSE,FI,FILE,FOR,FROM,IF,%
121     INCR,INT,INV,LEAVE,LENGTH,LET,MOD,NOT,OF,OP,OR,OTHERWISE,PACKET,%
122     PROC,REAL,REP,REPEAT,ROW,ROWS,SELECT,SIGN,STRUCT,SUB,TEXT,THEN,%
123     TRANSP,TRUE,TYPE,UNTIL,UPTO,VAR,WHILE,WITH,XOR,%
124     maxint,sign,abs,min,max,random,initializerandom,subtext,code,%
125     replace,text,laenge,pos,compress,change,maxreal,smallreal,floor,%
126     pi,e,ln,log2,log10,sqrt,exp,tan,tand,sin,sind,cos,cosd,arctan,%
127     arctand,int,real,lastconversionok,put,putline,line,page,get,%
128     getline,input,output,sequentialfile,maxlinelaenge,reset,eof,%
129     close,complexzero,complexone,complexi,complex,realpart,imagpart,%
130     dphi,phi,vector,norm,replace,matrix,idn,row,column,sub,%
131     replacerow,replacecolumn,replaceelement,transp,errorsstop,stop},%
132     sensitive,%
133     morestring=[d]"%
134     }[keywords,strings]%
135     %%
136     %% Erlang definition (c) 2003 Daniel Gazard
137     %%
138     \lst@definelanguage{erlang}%
139     {morekeywords={abs,after,and,apply,atom,atom_to_list,band,binary,%
140     binary_to_list,binary_to_term,bor,bsl,bsr,bxor,case,catch,%
141     date,div,element,erase,end,exit,export,float,float_to_list,%
142     get,halt,hash,hd,if,info,import,integer,integer_to_list,%
143     length,link,list,list_to_atom,list_to_float,list_to_integer,%
144     list_to_tuple,module,node,nodes,now,of,or,pid,port,ports,%
145     processes,put,receive,reference,register,registered,rem,%
146     round,self,setelement,size,spawn,throw,time,tl,trace,trunc,%
147     tuple,tuple_to_list,unlink,unregister,whereis,error,false,%
148     infinity,nil,ok,true,undefined,when},%
149     otherkeywords={->,!,[,],\{,\},},%
150     morecomment=[l]\%,%
151     morestring=[b]",%
152     morestring=[b]'%
153     }[keywords,comments,strings]%
154     \lst@definelanguage{ksh}
155     {morekeywords={alias,awk,cat,echo,else,elif,fi,exec,exit,%
156     for,in,do,done,select,case,esac,while,until,function,%
157     time,export,cd,eval,fc,fg,kill,let,pwd,read,return,rm,%
158     glob,goto,history,if,logout,nice,nohup,onintr,repeat,sed,%
159     set,setenv,shift,source,switch,then,umask,unalias,%
160     unset,wait,@,env,argv,child,home,ignoreeof,noclobber,%
161     noglob,nomatch,path,prompt,shell,status,verbose,print,printf,%
162     sqrt,BEGIN,END},%
163     morecomment=[l]\#,%
164     morestring=[d]",%
165     morestring=[d]',%
166     morestring=[d]`%
167     }[keywords,comments,strings]%
168     \lst@definelanguage{Logo}%
169     {morekeywords={and,atan,arctan,both,break,bf,bl,butfirst,butlast,%
170     cbreak, close,co,continue,cos,count,clearscreen,cs,debquit,%
171     describe,diff,difference,ed,edit,either,emptyp,equalp,er,erase,%
172     errpause,errquit,fifp,filefprint,fifty,fileftype,fip,fileprint,%
173     fird,fileread,fity,filetype,fiwd,fileword,f,first,or,fp,fprint,%
174     fput,fty,ftype,full,fullscreen,go,bye,goodbye,gprop,greaterp,%
175     help,if,iff,iffalse,ift,iftrue,nth,item,keyp,llast,lessp,list,%
176     local,lput,make,max,maximum,memberp,memtrace,min,minimum,namep,%
177     not,numberp,oflush,openr,openread,openw,openwrite,op,output,%
178     pause,plist,pots,pow,pprop,pps,pr,print,product,quotient,random,%
179     rc,readchar,rl,readlist,remprop,repcount,repeat,request,rnd,run,%
180     se,sentence,sentencep,setc,setcolor,setipause,setqpause,po,show,%
181     sin,split,splitscreen,sqrt,stop,sum,test,text,textscreen,thing,%
182     to,tone,top,toplevel,type,untrace,wait,word,wordp,yaccdebug,is,%
183     mod,remainder,trace,zerop,back,bk,bto,btouch,fd,forward,fto,%
184     ftouch,getpen,heading,hit,hitoot,ht,hideturtle,loff,lampoff,lon,%
185     lampon,lt,left,lot,lotoot,lto,ltouch,penc,pencolor,pd,pendown,pe,%
186     penerase,penmode,pu,penup,px,penreverse,rt,right,rto,rtouch,%
187     scrunch,seth,setheading,setscrun,setscrunch,setxy,shownp,st,%
188     showturtle,towardsxy,clean,wipeclean,xcor,ycor,tur,turtle,%
189     display,dpy},%
190     sensitive=f% ???
191     }[keywords]%
192     %%
193     %% MetaPost definition (c) 2003 Uwe Siart
194     %%
195     \lst@definelanguage{MetaPost}%
196     {morekeywords={abs,addto,ahangle,ahlength,and,angle,arclength,%
197     arctime,background,bbox,bboxmargin,beginfig,begingroup,beveled,%
198     black,blue,bluepart,boolean,bot,boxit,boxjoin,bpath,btex,%
199     buildcycle,butt,cc,ceiling,char,charcode,circleit,circmargin,%
200     clip,cm,color,controls,cosd,curl,currentpen,currentpicture,%
201     cutafter,cutbefore,cutdraw,cuttings,cycle,dashed,dashpattern,%
202     day,dd,decimal,decr,def,defaultdx,defaultdy,defaultfont,%
203     defaultpen,defaultscale,dir,direction,directionpoint,%
204     directiontime,ditto,div,dotlabel,dotlabels,dotprod,down,downto,%
205     draw,drawarrow,drawboxed,drawboxes,drawdblarrow,drawoptions,%
206     drawshadowed,drawunboxed,else,elseif,end,enddef,endfig,endfor,%
207     endgroup,epsilon,etex,evenly,exitif,exitunless,expr,extra,fi,%
208     fill,filldraw,fixpos,fixsize,floor,fontsize,for,forever,%
209     forsuffixes,fullcircle,getmid,green,greenpart,halfcircle,hex,%
210     hide,identity,if,in,incr,infinity,infont,input,interim,%
211     intersectionpoint,intersectiontimes,inverse,joinup,known,label,%
212     labeloffset,labels,left,length,let,lft,linecap,linejoin,llcorner,%
213     llft,loggingall,lrcorner,lrt,makepath,makepen,mark,max,mexp,%
214     mfplain,middlepoint,midpoint,min,mitered,miterlimit,mlog,mod,%
215     month,mp,mpx,mpxbreak,newinternal,normaldeviate,not,nullpicture,%
216     numeric,oct,odd,or,origin,pair,path,pausing,pen,pencircle,%
217     penoffset,pensquare,pic,pickup,picture,point,postcontrol,%
218     precontrol,primarydef,prologues,quartercircle,red,redpart,%
219     reflectedabout,reverse,right,rotated,rotatedaround,round,rounded,%
220     rt,save,scaled,secondarydef,self,setbounds,shifted,shipout,show,%
221     showdependencies,showstopping,showtoken,showvariable,sind,%
222     slanted,special,sqrt,squared,step,str,string,subpath,substring,%
223     tertiarydef,text,thelabel,time,top,tracingall,tracingcapsules,%
224     tracingchoices,tracingcommands,tracingequations,tracinglostchars,%
225     tracingmacros,tracingnone,tracingonline,tracingoutput,%
226     tracingrestores,tracingspecs,tracingstats,tracingtitles,%
227     transform,transformed,true,truecorners,ulcorner,ulft,undraw,%
228     unfill,unfilldraw,uniformdeviate,unitsquare,unitvector,unknown,%
229     until,up,upto,urcorner,urt,vardef,verbatimtex,whatever,white,%
230     withcolor,withdots,withpen,xpart,xscaled,xxpart,xypart,year,%
231     yscaled,yxpart,yypart,zscaled},%
232     sensitive,%
233     alsoother={0123456789$},%
234     morecomment=[l]\%,%
235     morestring=[s]"%
236     }[keywords,comments,strings]%
237     %%
238     %% Mizar definition (c) 2003 Adam Grabowski
239     %%
240     %% Mizar is freely available at URL www.mizar.org for the Linux x86,
241     %% Solaris x86, and Windows operating systems.
242     %%
243     \lst@definelanguage{Mizar}%
244     {otherkeywords={->,(\#,\#),.=),\&},%
245     morekeywords={vocabulary,constructors,$1,$1,$2,$3,$4,$5,$6,$7,$8,%
246     @proof,according,aggregate,and,antonym,as,associativity,assume,%
247     asymmetry,attr,be,begin,being,by,canceled,case,cases,cluster,%
248     clusters,coherence,commutativity,compatibility,connectedness,%
249     consider,consistency,constructors,contradiction,correctness,def,%
250     deffunc,define,definition,definitions,defpred,end,environ,equals,%
251     ex,exactly,existence,for,from,func,given,hence,hereby,holds,%
252     idempotence,if,iff,implies,involutiveness,irreflexivity,is,it,%
253     let,means,mode,non,not,notation,now,of,or,otherwise,over,per,%
254     pred,prefix,projectivity,proof,provided,qua,reconsider,redefine,%
255     reflexivity,requirements,reserve,scheme,schemes,section,selector,%
256     set,st,struct,such,suppose,symmetry,synonym,take,that,the,then,%
257     theorem,theorems,thesis,thus,to,transitivity,uniqueness,%
258     vocabulary,where},%
259     sensitive=t,%
260     morecomment=[l]::%
261     }[keywords,comments]%
262     \lst@definelanguage{Modula-2}%
263     {morekeywords={AND,ARRAY,BEGIN,BY,CASE,CONST,DIV,DO,ELSE,ELSIF,END,%
264     EXIT,EXPORT,FOR,FROM,IF,IMPLEMENTATION,IMPORT,IN,MOD,MODULE,NOT,%
265     OF,OR,POINTER,PROCEDURE,QUALIFIED,RECORD,REPEAT,RETURN,SET,THEN,%
266     TYPE,UNTIL,VAR,WHILE,WITH,ABS,BITSET,BOOLEAN,CAP,CARDINAL,CHAR,%
267     CHR,DEC,EXCL,FALSE,FLOAT,HALT,HIGH,INC,INCL,INTEGER,LONGCARD,%
268     LONGINT,LONGREAL,MAX,MIN,NIL,ODD,ORD,PROC,REAL,SIZE,TRUE,TRUNC,%
269     VAL,DEFINITION,LOOP},% added keywords due to Peter Bartke 99/07/22
270     sensitive,%
271     morecomment=[n]{(*}{*)},%
272     morestring=[d]',%
273     morestring=[d]"%
274     }[keywords,comments,strings]%
275     \lstdefinelanguage{MuPAD}{%
276     morekeywords={end,next,break,if,then,elif,else,end_if,case,end_case,%
277     otherwise,for,from,to,step,downto,in,end_for,while,end_while,%
278     repeat,until,end_repeat,or,and,not,xor,div,mod,union,minus,%
279     intersect,subset,proc,begin,end_proc,domain,end_domain,category,%
280     end_category,axiom,end_axiom,quit,delete,frame},%
281     morekeywords=[2]{NIL,FAIL,TRUE,FALSE,UNKNOWN,I,RD_INF,RD_NINF,%
282     RD_NAN,name,local,option,save,inherits,of,do},%
283     otherkeywords={\%if,?,!,:=,<,>,=,<=,<>,>=,==>,<=>,::,..,...,->,%
284     @,@@,\$},%
285     sensitive=true,%
286     morecomment=[l]{//},%
287     morecomment=[n]{/*}{*/},%
288     morestring=[b]",%
289     morestring=[d]{`}%
290     }[keywords,comments,strings]
291     \lst@definelanguage{NASTRAN}
292     {morekeywords={ENDDATA},%
293     morecomment=[l]$,%
294     MoreSelectCharTable=%
295     \lst@CArgX BEGIN\ BULK\relax\lst@CDef{}%
296     {\lst@ifmode\else \ifnum\lst@length=\z@
297     \lst@EnterMode{\lst@GPmode}{\lst@modetrue
298     \let\lst@currstyle\lst@gkeywords@sty}%
299     \fi \fi}%
300     {\ifnum\lst@mode=\lst@GPmode
301     \lst@XPrintToken \lst@LeaveMode
302     \fi}%
303     }[keywords,comments]%
304     \lst@definelanguage{Oberon-2}%
305     {morekeywords={ARRAY,BEGIN,BOOLEAN,BY,CASE,CHAR,CONST,DIV,DO,ELSE,%
306     ELSIF,END,EXIT,FALSE,FOR,IF,IMPORT,IN,INTEGER,IS,LONGINT,%
307     LONGREAL,LOOP,MOD,MODULE,NIL,OF,OR,POINTER,PROCEDURE,REAL,RECORD,%
308     REPEAT,RETURN,SET,SHORTINT,THEN,TO,TRUE,TYPE,UNTIL,VAR,WHILE,%
309     WITH,ABS,ASH,CAP,CHR,COPY,DEC,ENTIER,EXCL,HALT,INC,INCL,LEN,LONG,%
310     MAX,MIN,NEW,ODD,ORD,SHORT,SIZE},%
311     sensitive,%
312     morecomment=[n]{(*}{*)},%
313     morestring=[d]',%
314     morestring=[d]"%
315     }[keywords,comments,strings]%
316     %%
317     %% OCL definition (c) 2000 Achim D. Brucker
318     %%
319     %% You are allowed to use, modify and distribute this code either under
320     %% the terms of the LPPL (version 1.0 or later) or the GPL (version 2.0
321     %% or later).
322     %%
323     \lst@definelanguage[decorative]{OCL}[OMG]{OCL}
324     {otherkeywords={@pre},%
325     morendkeywords={name,attributes,associatoinEnds,operations,%
326     supertypes,allSupertypes,allInstances,oclIsKindOf,oclIsTypeOf,%
327     oclAsType,oclInState,oclIsNew,evaluationType,abs,floor,round,max,%
328     min,div,mod,size,concat,toUpper,toLower,substring,includes,%
329     excludes,count,includesAll,exludesAll,isEmpty,notEmpty,sum,%
330     exists,forAll,isUnique,sortedBy,iterate,union,intersection,%
331     including,excluding,symmetricDifference,select,reject,collect,%
332     asSequence,asBag,asSequence,asSet,append,prepend,subSequence,at,%
333     first,last,true,false,isQuery}%
334     }%
335     \lst@definelanguage[OMG]{OCL}%
336     {morekeywords={context,pre,inv,post},%
337     ndkeywords={or,xor,and,not,implies,if,then,else,endif},%
338     morekeywords=[3]{Boolean,Integer,Real,String,Set,Sequence,Bag,%
339     OclType,OclAny,OclExpression,Enumeration,Collection,},%
340     sensitive=t,%
341     morecomment=[l]--,%
342     morestring=[d]'%
343     }[keywords,comments,strings]%
344     \lst@definelanguage{PL/I}%
345     {morekeywords={ABS,ATAN,AUTOMATIC,AUTO,ATAND,BEGIN,BINARY,BIN,BIT,%
346     BUILTIN,BY,CALL,CHARACTER,CHAR,CHECK,COLUMN,COL,COMPLEX,CPLX,%
347     COPY,COS,COSD,COSH,DATA,DATE,DECIMAL,DEC,DECLARE,DCL,DO,EDIT,%
348     ELSE,END,ENDFILE,ENDPAGE,ENTRY,EXP,EXTERNAL,EXT,FINISH,FIXED,%
349     FIXEDOVERFLOW,FOFL,FLOAT,FORMAT,GET,GO,GOTO,IF,IMAG,INDEX,%
350     INITIAL,INIT,INTERNAL,INT,LABEL,LENGTH,LIKE,LINE,LIST,LOG,LOG2,%
351     LOG10,MAIN,MAX,MIN,MOD,NOCHECK,NOFIXEDOVERFLOW,NOFOFL,NOOVERFLOW,%
352     NOOFL,NOSIZE,NOUNDERFLOW,NOUFL,NOZERODIVIDE,NOZDIV,ON,OPTIONS,%
353     OVERFLOW,OFL,PAGE,PICTURE,PROCEDURE,PROC,PUT,READ,REPEAT,RETURN,%
354     RETURNS,ROUND,SIN,SIND,SINH,SIZE,SKIP,SQRT,STATIC,STOP,STRING,%
355     SUBSTR,SUM,SYSIN,SYSPRINT,TAN,TAND,TANH,THEN,TO,UNDERFLOW,UFL,%
356     VARYING,WHILE,WRITE,ZERODIVIDE,ZDIV},%
357     sensitive=f,%
358     morecomment=[s]{/*}{*/},%
359     morestring=[d]'%
360     }[keywords,comments,strings]%
361     %%
362     %% Reduce definition (c) 2002 Geraint Paul Bevan
363     %%
364     \lst@definelanguage{Reduce}%
365     {morekeywords={%
366     %% reserved identifiers
367     abs,acos,acosh,acot,acoth,acsc,acsch,%
368     adjprec,algebraic,algint,allbranch,allfac,and,%
369     antisymmetric,append,arglength,array,asec,asech,%
370     asin,asinh,atan,atan2,atanh,begin,bfspace,bye,%
371     card_no,ceiling,clear,clearrules,coeff,coeffn,%
372     cofactor,combineexpt,combinelogs,comment,comp,%
373     complex,conj,cons,cont,cos,cosh,cot,coth,cramer,%
374     cref,csc,csch,decompose,define,defn,deg,demo,den,%
375     depend,det,df,difference,dilog,display,div,do,e,%
376     echo,ed,editdef,ei,end,eps,eq,equal,erf,errcont,%
377     evallhseqp,eval_mode,even,evenp,exp,expandlogs,%
378     expr,expt,ezgcd,factor,factorial,factorize,fexpr,%
379     first,fix,fixp,floor,for,forall,foreach,fort,%
380     fort_width,freeof,fullroots,g,gcd,geq,go,goto,%
381     greaterp,high_pow,hypot,i,if,ifactor,impart,in,%
382     index,infinity,infix,input,int,integer,interpol,%
383     intstr,k,korder,lambda,lcm,lcof,length,leq,lessp,%
384     let,lhs,linear,linelength,lisp,list,listargp,%
385     listargs,ln,load,load_package,log,log10,logb,%
386     low_pow,lterm,macro,mainvar,mass,mat,match,%
387     mateigen,matrix,max,mcd,member,memq,min,minus,mkid,%
388     modular,msg,mshell,multiplicities,nat,neq,nero,%
389     nextprime,nil,nodepend,noncom,nonzero,nosplit,%
390     nospur,nullspace,num,numberp,odd,off,on,operator,%
391     or,order,ordp,out,output,part,pause,period,pf,pi,%
392     plus,precedence,precise,precision,pret,pri,primep,%
393     print_precision,procedure,product,quit,quotient,%
394     random,random_new_seed,rank,rat,ratarg,rational,%
395     rationalize,ratpri,real,rederr,reduct,remainder,%
396     remfac,remind,repart,repeat,rest,resultant,retry,%
397     return,reverse,revpri,rhs,rlisp88,%
398     root_multiplicity,round,roundall,roundbf,rounded,%
399     saveas,savestructr,scalar,sec,sech,second,set,%
400     setmod,setq,share,showrules,showtime,shut,sign,sin,%
401     sinh,smacro,solve,solvesingular,spur,sqrt,structr,%
402     sub,sum,symbolic,symmetric,t,tan,tanh,third,time,%
403     times,tp,tra,trace,trfac,trigform,trint,until,%
404     varname,vecdim,vector,weight,when,where,while,%
405     write,ws,wtlevel,%
406     %% identifiers with spaces
407     %% for all,for each,go to,such that,%
408     },%
409     sensitive=false,%
410     morecomment=[l]\%,%
411     morecomment=[s]{COMMENT}{;},%
412     morecomment=[s]{COMMENT}{$},%
413     morestring="%
414     }[keywords,comments,strings]%
415     \lst@definelanguage[IBM]{Simula}[DEC]{Simula}{}%
416     \lst@definelanguage[DEC]{Simula}[67]{Simula}%
417     {morekeywords={and,eq,eqv,ge,gt,hidden,imp,le,long,lt,ne,not,%
418     options,or,protected,short}%
419     }%
420     \lst@definelanguage[CII]{Simula}[67]{Simula}%
421     {morekeywords={and,equiv,exit,impl,not,or,stop}}%
422     \lst@definelanguage[67]{Simula}%
423     {morekeywords={activate,after,array,at,before,begin,boolean,%
424     character,class,comment,delay,detach,do,else,end,external,false,%
425     for,go,goto,if,in,inner,inspect,integer,is,label,name,new,none,%
426     notext,otherwise,prior,procedure,qua,reactivate,real,ref,resume,%
427     simset,simulation,step,switch,text,then,this,to,true,until,value,%
428     virtual,when,while},%
429     sensitive=f,%
430     keywordcommentsemicolon={end}{else,end,otherwise,when}{comment},%
431     morestring=[d]",%
432     morestring=[d]'%
433     }[keywords,keywordcomments,strings]%
434     \lst@definelanguage{S}[]{R}{}
435     \lst@definelanguage[PLUS]{S}[]{R}{}
436     \lst@definelanguage{R}%
437     {keywords={abbreviate,abline,abs,acos,acosh,action,add1,add,%
438     aggregate,alias,Alias,alist,all,anova,any,aov,aperm,append,apply,%
439     approx,approxfun,apropos,Arg,args,array,arrows,as,asin,asinh,%
440     atan,atan2,atanh,attach,attr,attributes,autoload,autoloader,ave,%
441     axis,backsolve,barplot,basename,besselI,besselJ,besselK,besselY,%
442     beta,binomial,body,box,boxplot,break,browser,bug,builtins,bxp,by,%
443     c,C,call,Call,case,cat,category,cbind,ceiling,character,char,%
444     charmatch,check,chol,chol2inv,choose,chull,class,close,cm,codes,%
445     coef,coefficients,co,col,colnames,colors,colours,commandArgs,%
446     comment,complete,complex,conflicts,Conj,contents,contour,%
447     contrasts,contr,control,helmert,contrib,convolve,cooks,coords,%
448     distance,coplot,cor,cos,cosh,count,fields,cov,covratio,wt,CRAN,%
449     create,crossprod,cummax,cummin,cumprod,cumsum,curve,cut,cycle,D,%
450     data,dataentry,date,dbeta,dbinom,dcauchy,dchisq,de,debug,%
451     debugger,Defunct,default,delay,delete,deltat,demo,de,density,%
452     deparse,dependencies,Deprecated,deriv,description,detach,%
453     dev2bitmap,dev,cur,deviance,off,prev,,dexp,df,dfbetas,dffits,%
454     dgamma,dgeom,dget,dhyper,diag,diff,digamma,dim,dimnames,dir,%
455     dirname,dlnorm,dlogis,dnbinom,dnchisq,dnorm,do,dotplot,double,%
456     download,dpois,dput,drop,drop1,dsignrank,dt,dummy,dump,dunif,%
457     duplicated,dweibull,dwilcox,dyn,edit,eff,effects,eigen,else,%
458     emacs,end,environment,env,erase,eval,equal,evalq,example,exists,%
459     exit,exp,expand,expression,External,extract,extractAIC,factor,%
460     fail,family,fft,file,filled,find,fitted,fivenum,fix,floor,for,%
461     For,formals,format,formatC,formula,Fortran,forwardsolve,frame,%
462     frequency,ftable,ftable2table,function,gamma,Gamma,gammaCody,%
463     gaussian,gc,gcinfo,gctorture,get,getenv,geterrmessage,getOption,%
464     getwd,gl,glm,globalenv,gnome,GNOME,graphics,gray,grep,grey,grid,%
465     gsub,hasTsp,hat,heat,help,hist,home,hsv,httpclient,I,identify,if,%
466     ifelse,Im,image,\%in\%,index,influence,measures,inherits,install,%
467     installed,integer,interaction,interactive,Internal,intersect,%
468     inverse,invisible,IQR,is,jitter,kappa,kronecker,labels,lapply,%
469     layout,lbeta,lchoose,lcm,legend,length,levels,lgamma,library,%
470     licence,license,lines,list,lm,load,local,locator,log,log10,log1p,%
471     log2,logical,loglin,lower,lowess,ls,lsfit,lsf,ls,machine,Machine,%
472     mad,mahalanobis,make,link,margin,match,Math,matlines,mat,matplot,%
473     matpoints,matrix,max,mean,median,memory,menu,merge,methods,min,%
474     missing,Mod,mode,model,response,mosaicplot,mtext,mvfft,na,nan,%
475     names,omit,nargs,nchar,ncol,NCOL,new,next,NextMethod,nextn,%
476     nlevels,nlm,noquote,NotYetImplemented,NotYetUsed,nrow,NROW,null,%
477     numeric,\%o\%,objects,offset,old,on,Ops,optim,optimise,optimize,%
478     options,or,order,ordered,outer,package,packages,page,pairlist,%
479     pairs,palette,panel,par,parent,parse,paste,path,pbeta,pbinom,%
480     pcauchy,pchisq,pentagamma,persp,pexp,pf,pgamma,pgeom,phyper,pico,%
481     pictex,piechart,Platform,plnorm,plogis,plot,pmatch,pmax,pmin,%
482     pnbinom,pnchisq,pnorm,points,poisson,poly,polygon,polyroot,pos,%
483     postscript,power,ppoints,ppois,predict,preplot,pretty,Primitive,%
484     print,prmatrix,proc,prod,profile,proj,prompt,prop,provide,%
485     psignrank,ps,pt,ptukey,punif,pweibull,pwilcox,q,qbeta,qbinom,%
486     qcauchy,qchisq,qexp,qf,qgamma,qgeom,qhyper,qlnorm,qlogis,qnbinom,%
487     qnchisq,qnorm,qpois,qqline,qqnorm,qqplot,qr,Q,qty,qy,qsignrank,%
488     qt,qtukey,quantile,quasi,quit,qunif,quote,qweibull,qwilcox,%
489     rainbow,range,rank,rbeta,rbind,rbinom,rcauchy,rchisq,Re,read,csv,%
490     csv2,fwf,readline,socket,real,Recall,rect,reformulate,regexpr,%
491     relevel,remove,rep,repeat,replace,replications,report,require,%
492     resid,residuals,restart,return,rev,rexp,rf,rgamma,rgb,rgeom,R,%
493     rhyper,rle,rlnorm,rlogis,rm,rnbinom,RNGkind,rnorm,round,row,%
494     rownames,rowsum,rpois,rsignrank,rstandard,rstudent,rt,rug,runif,%
495     rweibull,rwilcox,sample,sapply,save,scale,scan,scan,screen,sd,se,%
496     search,searchpaths,segments,seq,sequence,setdiff,setequal,set,%
497     setwd,show,sign,signif,sin,single,sinh,sink,solve,sort,source,%
498     spline,splinefun,split,sqrt,stars,start,stat,stem,step,stop,%
499     storage,strstrheight,stripplot,strsplit,structure,strwidth,sub,%
500     subset,substitute,substr,substring,sum,summary,sunflowerplot,svd,%
501     sweep,switch,symbol,symbols,symnum,sys,status,system,t,table,%
502     tabulate,tan,tanh,tapply,tempfile,terms,terrain,tetragamma,text,%
503     time,title,topo,trace,traceback,transform,tri,trigamma,trunc,try,%
504     ts,tsp,typeof,unclass,undebug,undoc,union,unique,uniroot,unix,%
505     unlink,unlist,unname,untrace,update,upper,url,UseMethod,var,%
506     variable,vector,Version,vi,warning,warnings,weighted,weights,%
507     which,while,window,write,\%x\%,x11,X11,xedit,xemacs,xinch,xor,%
508     xpdrows,xy,xyinch,yinch,zapsmall,zip},%
509     otherkeywords={!,!=,~,$,*,\&,\%/\%,\%*\%,\%\%,<-,<<-,_,/},%
510     alsoother={._$},%
511     sensitive,%
512     morecomment=[l]\#,%
513     morestring=[d]",%
514     morestring=[d]'% 2001 Robert Denham
515     }%
516     \lst@definelanguage{SAS}%
517     {procnamekeys={proc},%
518     morekeywords={DATA,AND,OR,NOT,EQ,GT,LT,GE,LE,NE,INFILE,INPUT,DO,BY,%
519     TO,SIN,COS,OUTPUT,END,PLOT,RUN,LIBNAME,VAR,TITLE,FIRSTOBS,OBS,%
520     DELIMITER,DLM,EOF,ABS,DIM,HBOUND,LBOUND,MAX,MIN,MOD,SIGN,SQRT,%
521     CEIL,FLOOR,FUZZ,INT,ROUND,TRUNC,DIGAMMA,ERF,ERFC,EXP,GAMMA,%
522     LGAMMA,LOG,LOG2,LOG10,ARCOS,ARSIN,ATAN,COSH,SINH,TANH,TAN,%
523     POISSON,PROBBETA,PROBBNML,PROBCHI,PROBF,PROBGAM,PROBHYPR,%
524     PROBNEGB,PROBNORM,PROBT,BETAINV,CINV,FINV,GAMINV,PROBIT,TINV,CSS,%
525     CV,KURTOSIS,MEAN,NMISS,RANGE,SKEWNESS,STD,STDERR,SUM,USS,NORMAL,%
526     RANBIN,RANCAU,RANEXP,RANGAM,RANNOR,RANPOI,RANTBL,RANTRI,RANUNI,%
527     UNIFORM,IF,THEN,ELSE,WHILE,UNTIL,DROP,KEEP,LABEL,DEFAULT,ARRAY,%
528     MERGE,CARDS,CARDS4,PUT,SET,UPDATE,ABORT,DELETE,DISPLAY,LIST,%
529     LOSTCARD,MISSING,STOP,WHERE,ARRAY,DROP,KEEP,WINDOW,LENGTH,RENAME,%
530     RETAIN,MEANS,UNIVARIATE,SUMMARY,TABULATE,CORR,FREQ,FOOTNOTE,NOTE,%
531     SHOW},%
532     otherkeywords={!,!=,~,$,*,\&,_,/,<,>=,=<,>},%
533     morestring=[d]'%
534     }[keywords,comments,strings,procnames]%
535     \lst@definelanguage[AlLaTeX]{TeX}[LaTeX]{TeX}%
536     {moretexcs={AtBeginDocument,AtBeginDvi,AtEndDocument,AtEndOfClass,%
537     AtEndOfPackage,ClassError,ClassInfo,ClassWarning,%
538     ClassWarningNoLine,CurrentOption,DeclareErrorFont,%
539     DeclareFixedFont,DeclareFontEncoding,DeclareFontEncodingDefaults,%
540     DeclareFontFamily,DeclareFontShape,DeclareFontSubstitution,%
541     DeclareMathAccent,DeclareMathAlphabet,DeclareMathAlphabet,%
542     DeclareMathDelimiter,DeclareMathRadical,DeclareMathSizes,%
543     DeclareMathSymbol,DeclareMathVersion,DeclareOldFontCommand,%
544     DeclareOption,DeclarePreloadSizes,DeclareRobustCommand,%
545     DeclareSizeFunction,DeclareSymbolFont,DeclareSymbolFontAlphabet,%
546     DeclareTextAccent,DeclareTextAccentDefault,DeclareTextCommand,%
547     DeclareTextCommandDefault,DeclareTextComposite,%
548     DeclareTextCompositeCommand,DeclareTextFontCommand,%
549     DeclareTextSymbol,DeclareTextSymbolDefault,ExecuteOptions,%
550     GenericError,GenericInfo,GenericWarning,IfFileExists,%
551     InputIfFileExists,LoadClass,LoadClassWithOptions,MessageBreak,%
552     OptionNotUsed,PackageError,PackageInfo,PackageWarning,%
553     PackageWarningNoLine,PassOptionsToClass,PassOptionsToPackage,%
554     ProcessOptionsProvidesClass,ProvidesFile,ProvidesFile,%
555     ProvidesPackage,ProvideTextCommand,RequirePackage,%
556     RequirePackageWithOptions,SetMathAlphabet,SetSymbolFont,%
557     TextSymbolUnavailable,UseTextAccent,UseTextSymbol},%
558     morekeywords={array,center,displaymath,document,enumerate,eqnarray,%
559     equation,flushleft,flushright,itemize,list,lrbox,math,minipage,%
560     picture,sloppypar,tabbing,tabular,trivlist,verbatim}%
561     }%
562     \lst@definelanguage[LaTeX]{TeX}[common]{TeX}%
563     {moretexcs={a,AA,aa,addcontentsline,addpenalty,addtocontents,%
564     addtocounter,addtolength,addtoversion,addvspace,alph,Alph,and,%
565     arabic,array,arraycolsep,arrayrulewidth,arraystretch,author,%
566     baselinestretch,begin,bezier,bfseries,bibcite,bibdata,bibitem,%
567     bibliography,bibliographystyle,bibstyle,bigskip,boldmath,%
568     botfigrule,bottomfraction,Box,caption,center,CheckCommand,circle,%
569     citation,cite,cleardoublepage,clearpage,cline,columnsep,%
570     columnseprule,columnwidth,contentsline,dashbox,date,dblfigrule,%
571     dblfloatpagefraction,dblfloatsep,dbltextfloatsep,dbltopfraction,%
572     defaultscriptratio,defaultscriptscriptratio,depth,Diamond,%
573     displaymath,document,documentclass,documentstyle,doublerulesep,%
574     em,emph,endarray,endcenter,enddisplaymath,enddocument,%
575     endenumerate,endeqnarray,endequation,endflushleft,endflushright,%
576     enditemize,endlist,endlrbox,endmath,endminipage,endpicture,%
577     endsloppypar,endtabbing,endtabular,endtrivlist,endverbatim,%
578     enlargethispage,ensuremath,enumerate,eqnarray,equation,%
579     evensidemargin,extracolsep,fbox,fboxrule,fboxsep,filecontents,%
580     fill,floatpagefraction,floatsep,flushbottom,flushleft,flushright,%
581     fnsymbol,fontencoding,fontfamily,fontseries,fontshape,fontsize,%
582     fontsubfuzz,footnotemark,footnotesep,footnotetext,footskip,frac,%
583     frame,framebox,fussy,glossary,headheight,headsep,height,hline,%
584     hspace,I,include,includeonly,index,inputlineno,intextsep,%
585     itemindent,itemize,itemsep,iterate,itshape,Join,kill,label,%
586     labelsep,labelwidth,LaTeX,LaTeXe,leadsto,lefteqn,leftmargin,%
587     leftmargini,leftmarginii,leftmarginiii,leftmarginiv,leftmarginv,%
588     leftmarginvi,leftmark,lhd,lim,linebreak,linespread,linethickness,%
589     linewidth,list,listfiles,listfiles,listparindent,lrbox,%
590     makeatletter,makeatother,makebox,makeglossary,makeindex,%
591     makelabel,MakeLowercase,MakeUppercase,marginpar,marginparpush,%
592     marginparsep,marginparwidth,markboth,markright,math,mathbf,%
593     mathellipsis,mathgroup,mathit,mathrm,mathsf,mathsterling,mathtt,%
594     mathunderscore,mathversion,mbox,mdseries,mho,minipage,%
595     multicolumn,multiput,NeedsTeXFormat,newcommand,newcounter,%
596     newenvironment,newfont,newhelp,newlabel,newlength,newline,%
597     newmathalphabet,newpage,newsavebox,newtheorem,nobreakspace,%
598     nobreakspace,nocite,nocorr,nocorrlist,nofiles,nolinebreak,%
599     nonumber,nopagebreak,normalcolor,normalfont,normalmarginpar,%
600     numberline,obeycr,oddsidemargin,oldstylenums,onecolumn,oval,%
601     pagebreak,pagenumbering,pageref,pagestyle,paperheight,paperwidth,%
602     paragraphmark,parbox,parsep,partopsep,picture,poptabs,pounds,%
603     protect,pushtabs,put,qbezier,qbeziermax,r,raggedleft,raisebox,%
604     ref,refstepcounter,renewcommand,renewenvironment,restorecr,%
605     reversemarginpar,rhd,rightmargin,rightmark,rmfamily,roman,Roman,%
606     rootbox,rule,samepage,sbox,scshape,secdef,section,sectionmark,%
607     selectfont,setcounter,settodepth,settoheight,settowidth,sffamily,%
608     shortstack,showoutput,showoverfull,sloppy,sloppypar,slshape,%
609     smallskip,sqsubset,sqsupset,SS,stackrel,stepcounter,stop,stretch,%
610     subparagraphmark,subsectionmark,subsubsectionmark,sum,%
611     suppressfloats,symbol,tabbing,tabbingsep,tabcolsep,tabular,%
612     tabularnewline,textasciicircum,textasciitilde,textbackslash,%
613     textbar,textbf,textbraceleft,textbraceright,textbullet,%
614     textcircled,textcompwordmark,textdagger,textdaggerdbl,textdollar,%
615     textellipsis,textemdash,textendash,textexclamdown,textfloatsep,%
616     textfraction,textgreater,textheight,textit,textless,textmd,%
617     textnormal,textparagraph,textperiodcentered,textquestiondown,%
618     textquotedblleft,textquotedblright,textquoteleft,textquoteright,%
619     textregistered,textrm,textsc,textsection,textsf,textsl,%
620     textsterling,textsuperscript,texttrademark,texttt,textunderscore,%
621     textup,textvisiblespace,textwidth,thanks,thefootnote,thempfn,%
622     thempfn,thempfootnote,thepage,thepage,thicklines,thinlines,%
623     thispagestyle,title,today,topfigrule,topfraction,topmargin,%
624     topsep,totalheight,tracingfonts,trivlist,ttfamily,twocolumn,%
625     typein,typeout,unboldmath,unitlength,unlhd,unrhd,upshape,usebox,%
626     usecounter,usefont,usepackage,value,vector,verb,verbatim,vline,%
627     vspace,width,%
628     normalsize,small,footnotesize,scriptsize,tiny,large,Large,LARGE,%
629     huge,Huge}%
630     }%
631     \lst@definelanguage[plain]{TeX}[common]{TeX}%
632     {moretexcs={advancepageno,beginsection,bf,bffam,bye,cal,cleartabs,%
633     columns,dosupereject,endinsert,eqalign,eqalignno,fiverm,fivebf,%
634     fivei,fivesy,folio,footline,hang,headline,it,itemitem,itfam,%
635     leqalignno,magnification,makefootline,makeheadline,midinsert,mit,%
636     mscount,nopagenumbers,normalbottom,of,oldstyle,pagebody,%
637     pagecontents,pageinsert,pageno,plainoutput,preloaded,proclaim,rm,%
638     settabs,sevenbf,seveni,sevensy,sevenrm,sl,slfam,supereject,%
639     tabalign,tabs,tabsdone,tabsyet,tenbf,tenex,teni,tenit,tenrm,%
640     tensl,tensy,tentt,textindent,topglue,topins,topinsert,tt,ttfam,%
641     ttraggedright,vfootnote}%
642     }%
643     \lst@definelanguage[common]{TeX}[primitive]{TeX}
644     {moretexcs={active,acute,ae,AE,aleph,allocationnumber,allowbreak,%
645     alpha,amalg,angle,approx,arccos,arcsin,arctan,arg,arrowvert,%
646     Arrowvert,ast,asymp,b,backslash,bar,beta,bgroup,big,Big,bigbreak,%
647     bigcap,bigcirc,bigcup,bigg,Bigg,biggl,Biggl,biggm,Biggm,biggr,%
648     Biggr,bigl,Bigl,bigm,Bigm,bigodot,bigoplus,bigotimes,bigr,Bigr,%
649     bigskip,bigskipamount,bigsqcup,bigtriangledown,bigtriangleup,%
650     biguplus,bigvee,bigwedge,bmod,bordermatrix,bot,bowtie,brace,%
651     braceld,bracelu,bracerd,braceru,bracevert,brack,break,breve,%
652     buildrel,bullet,c,cap,cases,cdot,cdotp,cdots,centering,%
653     centerline,check,chi,choose,circ,clubsuit,colon,cong,coprod,%
654     copyright,cos,cosh,cot,coth,csc,cup,d,dag,dagger,dashv,ddag,%
655     ddagger,ddot,ddots,deg,delta,Delta,det,diamond,diamondsuit,dim,%
656     displaylines,div,do,dospecials,dot,doteq,dotfill,dots,downarrow,%
657     Downarrow,downbracefill,egroup,eject,ell,empty,emptyset,endgraf,%
658     endline,enskip,enspace,epsilon,equiv,eta,exists,exp,filbreak,%
659     flat,fmtname,fmtversion,footins,footnote,footnoterule,forall,%
660     frenchspacing,frown,gamma,Gamma,gcd,ge,geq,gets,gg,goodbreak,%
661     grave,H,hat,hbar,heartsuit,hglue,hideskip,hidewidth,hom,%
662     hookleftarrow,hookrightarrow,hphantom,hrulefill,i,ialign,iff,Im,%
663     imath,in,inf,infty,int,interdisplaylinepenalty,%
664     interfootnotelinepenalty,intop,iota,item,j,jmath,joinrel,jot,%
665     kappa,ker,l,L,lambda,Lambda,land,langle,lbrace,lbrack,lceil,%
666     ldotp,ldots,le,leavevmode,leftarrow,Leftarrow,leftarrowfill,%
667     leftharpoondown,leftharpoonup,leftline,leftrightarrow,%
668     Leftrightarrow,leq,lfloor,lg,lgroup,lhook,lim,liminf,limsup,line,%
669     ll,llap,lmoustache,ln,lnot,log,longleftarrow,Longleftarrow,%
670     longleftrightarrow,Longleftrightarrow,longmapsto,longrightarrow,%
671     Longrightarrow,loop,lor,lq,magstep,magstep,magstephalf,mapsto,%
672     mapstochar,mathhexbox,mathpalette,mathstrut,matrix,max,maxdimen,%
673     medbreak,medskip,medskipamount,mid,min,models,mp,mu,multispan,%
674     nabla,narrower,natural,ne,nearrow,neg,negthinspace,neq,newbox,%
675     newcount,newdimen,newfam,newif,newinsert,newlanguage,newmuskip,%
676     newread,newskip,newtoks,newwrite,next,ni,nobreak,nointerlineskip,%
677     nonfrenchspacing,normalbaselines,normalbaselineskip,%
678     normallineskip,normallineskiplimit,not,notin,nu,null,nwarrow,o,O,%
679     oalign,obeylines,obeyspaces,odot,oe,OE,offinterlineskip,oint,%
680     ointop,omega,Omega,ominus,ooalign,openup,oplus,oslash,otimes,%
681     overbrace,overleftarrow,overrightarrow,owns,P,parallel,partial,%
682     perp,phantom,phi,Phi,pi,Pi,pm,pmatrix,pmod,Pr,prec,preceq,prime,%
683     prod,propto,psi,Psi,qquad,quad,raggedbottom,raggedright,rangle,%
684     rbrace,rbrack,rceil,Re,relbar,Relbar,removelastskip,repeat,%
685     rfloor,rgroup,rho,rhook,rightarrow,Rightarrow,rightarrowfill,%
686     rightharpoondown,rightharpoonup,rightleftharpoons,rightline,rlap,%
687     rmoustache,root,rq,S,sb,searrow,sec,setminus,sharp,showhyphens,%
688     sigma,Sigma,sim,simeq,sin,sinh,skew,slash,smallbreak,smallint,%
689     smallskip,smallskipamount,smash,smile,sp,space,spadesuit,sqcap,%
690     sqcup,sqrt,sqsubseteq,sqsupseteq,ss,star,strut,strutbox,subset,%
691     subseteq,succ,succeq,sum,sup,supset,supseteq,surd,swarrow,t,tan,%
692     tanh,tau,TeX,theta,Theta,thinspace,tilde,times,to,top,tracingall,%
693     triangle,triangleleft,triangleright,u,underbar,underbrace,%
694     uparrow,Uparrow,upbracefill,updownarrow,Updownarrow,uplus,%
695     upsilon,Upsilon,v,varepsilon,varphi,varpi,varrho,varsigma,%
696     vartheta,vdash,vdots,vec,vee,vert,Vert,vglue,vphantom,wedge,%
697     widehat,widetilde,wlog,wp,wr,xi,Xi,zeta}%
698     }%
699     \lst@definelanguage[primitive]{TeX}%
700     {moretexcs={above,abovedisplayshortskip,abovedisplayskip,aftergroup,%
701     abovewithdelims,accent,adjdemerits,advance,afterassignment,atop,%
702     atopwithdelims,badness,baselineskip,batchmode,begingroup,%
703     belowdisplayshortskip,belowdisplayskip,binoppenalty,botmark,box,%
704     boxmaxdepth,brokenpenalty,catcode,char,chardef,cleaders,closein,%
705     closeout,clubpenalty,copy,count,countdef,cr,crcr,csname,day,%
706     deadcycles,def,defaulthyphenchar,defaultskewchar,delcode,%
707     delimiter,delimiterfactor,delimitershortfall,dimen,dimendef,%
708     discretionary,displayindent,displaylimits,displaystyle,%
709     displaywidowpenalty,displaywidth,divide,doublehyphendemerits,dp,%
710     edef,else,emergencystretch,end,endcsname,endgroup,endinput,%
711     endlinechar,eqno,errhelp,errmessage,errorcontextlines,%
712     errorstopmode,escapechar,everycr,everydisplay,everyhbox,everyjob,%
713     everymath,everypar,everyvbox,exhyphenpenalty,expandafter,fam,fi,%
714     finalhypendemerits,firstmark,floatingpenalty,font,fontdimen,%
715     fontname,futurelet,gdef,global,globaldefs,halign,hangafter,%
716     hangindent,hbadness,hbox,hfil,hfill,hfilneg,hfuzz,hoffset,%
717     holdinginserts,hrule,hsize,hskip,hss,ht,hyphenation,hyphenchar,%
718     hyphenpenalty,if,ifcase,ifcat,ifdim,ifeof,iffalse,ifhbox,ifhmode,%
719     ifinner,ifmmode,ifnum,ifodd,iftrue,ifvbox,ifvmode,ifvoid,ifx,%
720     ignorespaces,immediate,indent,input,insert,insertpenalties,%
721     interlinepenalty,jobname,kern,language,lastbox,lastkern,%
722     lastpenalty,lastskip,lccode,leaders,left,lefthyphenmin,leftskip,%
723     leqno,let,limits,linepenalty,lineskip,lineskiplimits,long,%
724     looseness,lower,lowercase,mag,mark,mathaccent,mathbin,mathchar,%
725     mathchardef,mathchoice,mathclose,mathcode,mathinner,mathop,%
726     mathopen,mathord,mathpunct,mathrel,mathsurround,maxdeadcycles,%
727     maxdepth,meaning,medmuskip,message,mkern,month,moveleft,%
728     moveright,mskip,multiply,muskip,muskipdef,newlinechar,noalign,%
729     noboundary,noexpand,noindent,nolimits,nonscript,nonstopmode,%
730     nulldelimiterspace,nullfont,number,omit,openin,openout,or,outer,%
731     output,outputpenalty,over,overfullrule,overline,overwithdelims,%
732     pagedepth,pagefilllstretch,pagefillstretch,pagefilstretch,%
733     pagegoal,pageshrink,pagestretch,pagetotal,par,parfillskip,%
734     parindent,parshape,parskip,patterns,pausing,penalty,%
735     postdisplaypenalty,predisplaypenalty,predisplaysize,pretolerance,%
736     prevdepth,prevgraf,radical,raise,read,relax,relpenalty,right,%
737     righthyphenmin,rightskip,romannumeral,scriptfont,%
738     scriptscriptfont,scriptscriptstyle,scriptspace,scriptstyle,%
739     scrollmode,setbox,setlanguage,sfcode,shipout,show,showbox,%
740     showboxbreadth,showboxdepth,showlists,showthe,skewchar,skip,%
741     skipdef,spacefactor,spaceskip,span,special,splitbotmark,%
742     splitfirstmark,splitmaxdepth,splittopskip,string,tabskip,%
743     textfont,textstyle,the,thickmuskip,thinmuskip,time,toks,toksdef,%
744     tolerance,topmark,topskip,tracingcommands,tracinglostchars,%
745     tracingmacros,tracingonline,tracingoutput,tracingpages,%
746     tracingparagraphs,tracingrestores,tracingstats,uccode,uchyph,%
747     underline,unhbox,unhcopy,unkern,unpenalty,unskip,unvbox,unvcopy,%
748     uppercase,vadjust,valign,vbadness,vbox,vcenter,vfil,vfill,%
749     vfilneg,vfuzz,voffset,vrule,vsize,vskip,vsplit,vss,vtop,wd,%
750     widowpenalty,write,xdef,xleaders,xspaceskip,year},%
751     sensitive,%
752     alsoother={0123456789$_},%
753     morecomment=[l]\%%
754     }[keywords,tex,comments]%
755     %%
756     %% Verilog definition (c) 2003 Cameron H. G. Wright <c.h.g.wright@ieee.org>
757     %% Based on the IEEE 1364-2001 Verilog HDL standard
758     %% Ref: S. Palnitkar, "Verilog HDL: A Guide to Digital Design and Synthesis,"
759     %% Prentice Hall, 2003. ISBN: 0-13-044911-3
760     %%
761     \lst@definelanguage{Verilog}%
762     {morekeywords={% reserved keywords
763     always,and,assign,automatic,begin,buf,bufif0,bufif1,case,casex,%
764     casez,cell,cmos,config,deassign,default,defparam,design,disable,%
765     edge,else,end,endcase,endconfig,endfunction,endgenerate,%
766     endmodule,endprimitive,endspecify,endtable,endtask,event,for,%
767     force,forever,fork,function,generate,genvar,highz0,highz1,if,%
768     ifnone,incdir,include,initial,inout,input,instance,integer,join,%
769     large,liblist,library,localparam,macromodule,medium,module,nand,%
770     negedge,nmos,nor,noshowcancelled,not,notif0,notif1,or,output,%
771     parameter,pmos,posedge,primitive,pull0,pull1,pulldown,pullup,%
772     pulsestyle_onevent,pulsestyle_ondetect,rcmos,real,realtime,reg,%
773     release,repeat,rnmos,rpmos,rtran,rtranif0,rtranif1,scalared,%
774     showcancelled,signed,small,specify,specparam,strong0,strong1,%
775     supply0,supply1,table,task,time,tran,tranif0,tranif1,tri,tri0,%
776     tri1,triand,trior,trireg,unsigned,use,vectored,wait,wand,weak0,%
777     weak1,while,wire,wor,xnor,xor},%
778     moredirectives={% system tasks and functions
779     $bitstoreal,$countdrivers,$display,$fclose,$fdisplay,$fmonitor,%
780     $fopen,$fstrobe,$fwrite,$finish,$getpattern,$history,$incsave,%
781     $input,$itor,$key,$list,$log,$monitor,$monitoroff,$monitoron,%
782     $nokey},%
783     moredirectives={% compiler directives
784     `accelerate,`autoexpand_vectornets,`celldefine,`default_nettype,%
785     `define,`else,`elsif,`endcelldefine,`endif,`endprotect,%
786     `endprotected,`expand_vectornets,`ifdef,`ifndef,`include,%
787     `no_accelerate,`noexpand_vectornets,`noremove_gatenames,%
788     `nounconnected_drive,`protect,`protected,`remove_gatenames,%
789     `remove_netnames,`resetall,`timescale,`unconnected_drive},%
790     moredelim=*[directive]\#,%
791     sensitive,%
792     morecomment=[s]{/*}{*/},%
793     morecomment=[l]//,% nonstandard
794     morestring=[b]"%
795     }[keywords,comments,strings,directives]%
796     \endinput
797     %%
798     %% End of file `lstlang3.sty'.